(** to designate keynote talk, * to designate invite talk)

Monday, March 18, 2019 Shanghai International Convention Center
Meeting Room:3I+3J

Session I: CMP integration
Session Chair: Xin-Ping Qu
 
**13:30-14:00 Enablement Strategies to minimize inputs of CMP process in Mass production
  Jichul Yang, SK HYNIX
*14:00-14:25 Beyond Planarization, into the Realm of Multifunctional Polishing
  Dr. Hongjin Kim, Globalfoundries
*14:25-14:50 Opportunities and Challenges of CMP technology for advanced memory manufacturing
  Dr. Yukiteru,Toshiba Memory Corporation
*14:50-15:15 Study and Improvement on Tungsten Recess in CMP Process
  Dr. Lei Zhang, Shanghai Huali Microelectronics Corporation
15:15-15:30 Coffee Break
   

Session II: Novel CMP application
Session Chair: YuChun Wang

*15:30-15:55 The surface investigation of nano silica for CMP
  Prof. Weili Liu, SIMIT
*15:55-16:20 Study on CMP Slurry with Graphene Oxide for Monocrystal SiC Wafer Polishing
  Prof. Chao-Chang Chen, NTUST
16:20-16:35 Chemical Mechanical Polishing of Semiconductor Wafers: Surface Element Modeling and Simulation to Predict Wafer Surface Shape
  Kenneth Wilson Jr., Zhichao Li, North Carolina A&T State University
  Zhen Li, Houjun Qi, Tianjin University of Technology and Education
  Qi Zhang, Yangzhou University
16:35-16:50 Optimization on Chemical Mechanical Planarization of chromium doped antimony telluride (Cr-SbTe) for PCM Devices
  Luguang Wang, Fang Wang, Yuxiang Li, Jinrong Huang, Wei Li, Kailiang Zhang, Tianjin University of Technology


Tuesday, March 19, 2019 Shanghai International Convention Center
Meeting Room: 3I+3J

Session III: Pad
Session Chair: Jin-Goo Park 
 
*8:30-8:55 A Study on the Mechanical Role of Pad Asperities in Chemical-Mechanical Polishing
  Prof. Sanha Kim, Korea Advanced Institute of Science and Technology
*8:55-9:20 Post CMP Cleaning: Challenges and Defect Improvement Approaches
  Katrina Mikhaylich, AMAT
9:20-9:35 HPPC for Pad Profile and Lower CoC
  Yunlong Wu, Changxing Tan, Xiang Lei, Runtao Zhao, Applied materials
9:35-9:50 Effect of Pad-asperity Contact on Material Removal Rate in Chemical Mechanical Polishing
  Lin Wang, Ping Zhou, Ying Yan, Dongming Guo, Dalian University of Technology
9:50-10:05 Effect of Pad Grooves on Slurry Flow-field in Removal Rate and Uniformity of Oxide CMP
  Yu-Hao Pan, Suzhou IV Technologies
10:05-10:20 Within Wafer & Wafer to Wafer Thickness Uniformity Controllable Study on ILD-CMP via Polishing Pad's Physical Property Analysis and Linear Interval Feedback APC's Implementation
  Zhijie Zhang, Hongdi Wang, SMIC
10:20-10:35 Coffee Break
   

Session IV: CMP slurries
Session Chair: KC Wu

*10:35-11:00 Advances in CMP Formulations Technology
  Dr. Hongjun Zhou, Versum
*11:00-11:25 High rate ceria slurry and pad combo solution for bulk oxide CMP
  Dr. Jinfeng Wang, Cabot
*11:25-11:50 Ceria Slurry for Bulk Oxide and Selective CMP Applications
  Dr. Ethan Yin, Anji Microelectronics
11:50-12:05 A New Nanoparticle Characterization Technology for CMP Slurries
  Siqin He, Derek Oberreit, David Blackford, Kanomax FMT
  Gary Van Schooneveld, CT Associates
12:05-13:30 Lunch Break
   

Session V: Post CMP cleaning
Session Chair: David Huang

*13:30-13:55 The Characteristics of PVA Brushes in Post CMP Cleaning
  Prof. J.G. Park, Hanyang University
*13:55-14:20 Numberical simulation of marangoni drying in post-cmp clenaing
  Dewen Zhao, Tsinghua University
14:20-14:35 Advances of Oxide CMP and PCMP Clean Process - A Total Solution toward Superior Defect Performance
  Yi Guo, Robert Auger, Dow Electronic Materials, CMP Technology
  Jhih-Fong Lin, Wei-En Huang, Chi Yen, EKC Technology
14:35-14:50 Effect of Wafer Wettability on Marangoni Drying Performance in post-CMP Cleaning
  Changkun Li, Bingquan Wang, Dewen Zhao, Xinchun Lu, Tsinghua University
14:50-15:05 Study on Different Surfactants for Post CMP Cleaning of Novel Barrier
  Siyu Tian, Baimei Tan, Qi Wang, Chunyu Han, Liu Yang, Baohong Gao, Hebei University of Technolog, Tianjin Key Laboratory of Electronic Materials and Devices
15:05-15:20 Coffee Break
   

Session VI: Back-end technology
Session Chair: Dr. Jing-Xun Fang

*15:20-15:45 CMP mechanism of GISI multilevel interconnect
  Chenwei Wang, Hebei University of Technology
15:45-16:00 Effect of Ammonium Ion on Chemical Mechanical Polishing of Ru
  Ziyan Wang, Jianwei Zhou, Chenwei Wang, Jiajie Zhang, Qingwei Wang, Tianjin Key Laboratory of Electronic Materials and Devices, School of Electronic Information Engineering, Hebei University of Technology
16:00-16:15 Effect of BTA Derivative on Reduction of Galvanic Corrosion Between of Ru and Cu During CMP
  JiaJie Zhang, Jianwei Zhou, Chenwei Wang, Ziyan Wang, Qingwei Wang, Tianjin Key Laboratory of Electronic Materials and Devices, Hebei University of Technology
16:15-16:30

Effect of TT-LYK as the inhibitor on Step Height Reduction for Copper CMP

  Jiakai Zhou, Xinhuan Niu, Jianchao Wang, Kai Zhang, Yaqi Cui, Zhi Wang, Hebei University of Technology, Tianjin Key Laboratory of Electronic Materials and Devices
   
Poster Session: Location: 5th Floor    
Coffee Break Development of a Standard Evaluation System to Characterize and Quantify Pad Foam Morphology for Chemical Mechanical Polishing (CMP)
 

Hassan Zuburtan, Zhichao Li, North Carolina Agricultural & Technology State University

  Zhenyun Chu, Shandong University of Science and Technology
  Qi Zhang, Yangzhou University
  Pad Wear Compensation Application for Cu CMP on the Reflexion LK Prime System
  Lin Wang, Neil Zhong, Runtao Zhao, Frank Ren, Ganming Zhao, Applied Materials
  iAPC: A Closed-Loop Process Control System
  Yongbin Wei, Runtao Zhao, Neil Zhong, Lei Zhu, Applied Materials
  Optimization of cleaning process parameters to remove abrasive particles in post-Cu CMP cleaning
  Yang Liu, Tan baimei, Liu yuling, Gao baohong, Han chunyu, Hebei University of Technology
  Application of New Generation Real-Time Cu Thickness Profile Control in Cu CMP
  Changxing Tan, Ying Xu, Runtao Zhao, Applied Materials
  Study on infrared specturm detection and analysis of BTA residual after copper CMP
  Qi Wang, Baimei Tan, Siyu Tian, Chunyu Han, Liu Yang, Baohong Gao, Hebei University of Technology, Tianjin Key Laboratory of Electronic Materials and Devices
  Study on the Properties of Nano-silica Colloid with Mixed Particle Size in Sapphire Substrate CMP
  Weiwei Li, Zhen Liang, Zhilin Zhao, Hebei University of Technology
  Effect of compound complexing agent on CMP polishing of copper wiring
  Cong Wang, Yuling Liu, Chenwei Wang, Huihui Zhang, Nengyuan Zeng, Fengxia Liu, Hebei University of Technology
  Effect of Chelating Agent on the Galvanic Corrosion between Copper and Tantalum based Alkaline Polishing Surry
  Jiacheng Qi, Guofeng Pan, Chenwei Wang, Chao Huang, Lianjun Hu, Tianjin Key Laboratory of Electronic Materials and Devices, Hebei University of Technology
  Study on Chemical Mechanical Polishing of R-plane Sapphire by Different Additives
  Yaqi Cui, Xinhuan Niu, Jianchao Wang, Da Yin, Jiakai Zhou, Zhi Wang, Hebei University of Technology, Tianjin Key Laboratory of Electronic Materials and Devices
  Effect of Chelation and Oxidation on Reducing Galvanic Corrosion between Cobalt and Copper in Alkaline Slurry
  Chao Huang, Guofeng Pan, Jiacheng Qi, Chenwei Wang, Tianjin Key Laboratory of Electronic Materials and Devices, Hebei University of Technology
  Chemical mechanical material removal of silicon dioxide by a single pad asperity
  Shuo Yang, Lin Wang, Ping Zhou, Ying Yan, Zhuji Jin, Dalian University of Technology
  Effect of Galvanic Corrosion Inhibitors on Copper during Chemical Mechanical Polishing Process of Ruthenium
  JiaJie Zhang, Jianwei Zhou, Chenwei Wang, Ziyan Wang, Qingwei Wang, Hebei University of Technology
  Uniformity improvement and particle counts reduction by using non-ion surfactant in the weakly alkaline barrier slurry
  Cong Wang, Yuling Liu, Cheiwei Wang, Huihui Zhang, Hebei University of Technology, Tianjin Key Laboratory of Electronic Materials and Devices
  Potassium Tartrate as A Complexing Agent for Cobalt "Buff Step" CMP in Alkaline Slurry
  Tingwei Liang, Shengli Wang, Chenwei Wang, Fengxia Liu, Hebei University of Technology
  Effect of Ammonium sulfate and H2O2 on Cobalt Contact bulk Chemical Mechanical Polishing
  Fengxia Liu, shengli Wang, Chenwei Wang, Qiyuan Tian, Tingwei Liang, Hebei University of Technology, Tianjin Key Laboratory of Electronic Materials and Devices
  Analysis of JFC Adsorption Effect on Surface Roughness of Aluminum for Alkaline CMP by EIS
  Zhi Wang, Ming Sun, Xinhuan Niu, Jiakai Zhou, Yaqi Cui, Hebei University of Technology
  Optimization and Characterization of Wafer-Level Hybrid Bonding Interface
  Shipu Li, Jun Qian, Wuzhi Zhang, Chang Sun, Shanghai Huali Microelectronics Corporation
  Electrochemical and Surface Analysis of the Chemical Induced Defects with Aluminum Gate CMP
  Ming Sun, Zhi Wang, Hebei University of Technology
  The CMP effect of Potassium Molybdate with BTA as Compound Corrosion Inhibitor used in CMP of the TSV Heterogeneous Microstructure
  Bingquan Wang, Changkun Li, Yuhong Liu, Xinchun Lu, Tsinghua University
  ReaxFF Molecular Dynamics Simulation of Material Removal Mechanisms During CMP Process of Silica Glass in Aqueous H2O2
  Xiaoguang Guo, Chong Chen, Renke Kang, Zhuji Jin, Dalian University of Technology