(** to designate keynote talk, * to designate invite talk)

Sponsored by:
Monday, March 18, 2019 Shanghai International Convention Center
Meeting Room:5th Floor Yangtze River Hall

Joint Session: Symposium II and Symposium III-Lithography/Etch
Session Chairs: Kafai Lai & Ying Zhang

13:30-13:35 Opening Remarks
  Kafai Lai / Ying Zhang
**13:35-14:05 Advanced Etch Challenges and Perspective Solutions
  Rich Wise, Lam Research, US
**14:05-14:35 Precise Etching Profile Control by Atomic-Scale Process
  Yoshihide Kihara, TEL, Japan
**14:35-15:05 Boosting Computational Lithography Performance with High Speed Metrology and Machine Learning Technology
  Gary Zhang, ASML-Brion
15:05-15:20 Coffee Break
 

Session II: Computational Lithography
Session Chairs: Yayi Wei & David Wei

*15:20-15:45 Reduction and control of edge placement error at the 5nm node through a holistic approach
  Robert Socha, ASML
15:45-16:00 Semi-implicit Mask Synthesis With Additive Operator Splitting
  Yijiang Shen, Fei Peng, Guangdong University of Technology
*16:00-16:15 EUV Mask near Field Synthesis
 

Taian Fan, IMECAS

16:15-16:30 Tomographic Mueller-matrix scatterometry and its application in nanostructure metrology
  Xiuguo Chen, Shiyuan Liu, HUST
*16:30-16:55 Quantifying Improvements in Field to Field and Wafer to Wafer CD Variation
  Will conley, Cymer
16:55-17:10 Design Driven test patterns for SMO OPC and SPA
  Le Ma, Lisong Dong, Libin Zhang, IMECAS
  Yingli Duan, Gangzheng Intellectual Property Center Co., Ltd
  Yayi Wei, IMECAS, University of Chinese Academy of Sciences
   


Tuesday, March 19, 2019 Shanghai International Convention Center
Meeting Room: 5th Floor Yangtze River Hall

Session III: Mask
Session Chairs: Leo Pang & Chris Progler

8:30-8:35 Opening Remarks
  Leo Pang / Chris Progler
**8:35-9:05 Multi-Beam Mask Writer for Advanced Patterning
  Naoya Hayashi, DNP
*9:05-9:30 How Curvilinear Mask Patterning will Enhance the Wafer Process Window: A Study Using Rigorous Wafer+Mask Dual Simulation
  Ryan pearman, D2S
*9:30-9:55 Multi-beam mask writer MBM-1000
  Hiroshi Matsumoto, NuFlare
9:55-10:10 Effective mask patterning strategy as design complexity and CD stability
  Young Ham, Yohan Choi, Michael Green, Mohamed Ramadan, Chris Progler, Photronics
10:10-10:30 Coffee Break
   

Joint Session: Symposium II, Symposium VIII and Symposium IX-AI
Session Chairs: Qinghuang Lin & Wenjian Yu

**10:30-11:00 How to Successfully Overcome Inflection Points by Using the Technology Roadmap methodology
  Dr. Paolo Gargini, Chair, IRSD Roadmap, USA
**11:00-11:30 Edge Computing
for Intelligent Healthcare
  Prof. Sharon Hu, University of Notre Dame, USA
**11:30-12:00 Advances in IC Mask Synthesis and Tape Out Operations in the era of Machine Learning
  Steffen Schulz, Mentor
12:00-13:25 Lunch Break
   

Session V: Novel Technology / Metrology
Session Chairs: Motokatsu Imai & Qiang Wu


**13:25-13:55 High Power LPP-EUV Source with Long Collector Mirror Lifetime for Semiconductor High Volume Manufacturing
  Hakaru Mizoguchi, Gigaphoton
*13:55-14:20 EUV Lithography optics - current status and outlook
  Dr. Dirk Jürgens, Zeiss
*14:20-14:45 Pattern fidelity control on EUV via hole towards 5-nm node
  Hidetami Yaegashi, TEL
*14:45-15:10 Study of CD-SEM based and other reference metrologies for line width roughness (LWR) control on EUV photoresist and less than 20nm width materials
  Takeshi Kato, Hitachi High Technologies
15:10-15:25 Self-Aligned Assembly of Block Copolymer Pattern on Graphene Nanoribbon Arrays
  Shisheng Xiong, Fudan university, University of Chicago
  Robert M. Jacobberger, Tzu-Hsuan Chang, Zhenqiang Ma, Michael S. Arnold, University of Wisconsin-Madison
  Guangpeng Wu, Paul F. Nealey, University of Chicago
15:25-15:40 Coffee Break
   

Session VI: Material / Toolings
Session Chairs: Wanh Yueh & Zhimin Zhu, Gyomei Shiba & Hai Deng


*15:40-16:05 Evolution of Lithographic Materials Enabling the Semiconductor Industry
  Cheng-Bai Xu, DowDupont
*16:05-16:30 Tailoring Material and Process Variables to Control Planarization Properties at ≤ 7-nm nodes
  Daniel M. Sullivan, Brewer Science
*16:30-16:55 Defectivity Reduction in EUV Lithography Materials using Advanced Filtration Technologies
  Rao Varanasi, Pall Semiconductor
*16:55-17:10 Advanced Lithography Material Status toward 5nm Node and beyond
  Koichi FUJIWARA, JSR Shanghai Co., Ltd.
*17:10-17:25 Novel gap filling BARC with high chemical resistance
  Yuto Hashimoto, Nissan Chemical Corporation
*17:25-17:50 High Volume Semiconductor Manufacturing using Nanoimprint Lithography
  Keita Sakai, Canon
*17:50-18:05 Thin Film Characterization for advanced patterning
  Zhimin Zhu, Brewer Science
   
Poster Session: Location: 5th Floor    
Coffee Break The comparison between VT5 and CM1 in 28nm WELL resist modeling
  Mudan Wang, Yiqun Tan, Juan Wei, Haibin Yu, Shirui Yu, Shanghai Huali Microelectronics Corporation
  0.75NA ArF Tool can be capable for over 90nm technology in 200nm FAB
  Weifeng Li, Huiping Shen, Yili Gu, Lei Wang, Shanghai Huahong Grace Semiconductor Manufacturing Corporation
  Machine Learning SRAF improves OPC Performance at 1X node and below
  Hu Hongmei, Wei Yuan, Yifei Lu, ICRD
  Xi-Chen Sheng, Yue-liang Yao, Yan-Jun Xiao, Wen-hao Zhao, Yifei Lu, ASML Brion Technologies
  Study of Pattern Projection on OPC Accuracy and Efficiency
  Zhang Shasha, Shanghai Huali Microelectronics Corporation
  The Monitor Strategies of Lens Flare for Nikon ARF and KRF Scanner Machines: Simulated Results and Discussion
  Zhe Zheng, Zhenyu Yang, Chun Shao, Nikon Precision SHanghai Co., LTD.
  Junji Ikeda, Nikon Corporation
  Mechanism Study of Wafer Surface Charge during Dual Damascene Process and an Effective Removal Method Using Functional Rinse
  Heguang Shi, Weihua Sang, Jingan Hao, Semiconductor Manufacturing International Corp.
  Critical Dimension Uniformity Improvement of Negative Toned Developing Process for Hole Type Pattern
  Rui-Lin Zhang, Hai-Hua Chen, Jing-An Hao, Zhi-Feng Gan, He-Guang Shi, Qing-Qiu Tang, Yan-Li Li, Semiconductor Manufacturing International Corp.
  Challenge and Solution for A Typical Array Structure in Metal Layout
  Zhifeng Gan, Peipei Liang, Xiaochi Xu, Xiaolin Hu, Jingan Hao, Semiconductor Manufacturing International Corp.
  Spin-on-carbon material buried voids defect analysis and improvement in Via patterning process with double exposure lithography
  Haihua Chen, Jingan Hao, SMIC Technology Research & Development (Shanghai) Corporation
  Resist model tuning for short bar type pattern in Negative Tone Development Process
  Xiaolin Hu, Zhifeng Gan, Jingan Hao, Technology R&D, Semiconductor Manufacturing International Corp.
  The impact of segment length on the Process window in SMO
  Yonghua Zhang, Haoru Hu, Institute of Microelectronics of Chinese Academy of Science, Guizhou University
  Lisong Dong, Yayi Wei, Institute of Microelectronics of Chinese Academy of Science
  Prediction model of etching bias based on artificial neural network
  Haoru Hu, Yonghua Zhang, Institute of Microelectronics of Chinese Academy of Science, Guizhou University
  Lisong Dong, Yayi Wei, Institute of Microelectronics of Chinese Academy of Science
  Mask and Template Assignment on DSA-MP with Triple BCP Materials Lithography
  Cong Cao, Jianli Chen, Wenxing Zhu, Fuzhou University
  Xingquan Li, Minnan Normal University
  The Study of Mask Crosstalk Defects from Developer and Etching
  Heng-Jen Lee, Yuan Hsu, Jackie Cheng, Mei-Yu Liu, Colbert Lu, Tomas Chin, Photronics DNP Mask Corp.
  Advanced ceramic protective lifetime prolong for particle control
  Yuan Hsu, Yutaka Satou, Heng-Jen Lee, Photronics DNP Mask Corp.
  Methodology for Building MPC Model for Advanced Lithography Nodes
  Mohamed Ramadan, Yohan Choi, Michael Green, Young Ham, Chris Progler, Photronics
  Brian Dillon, Nippon Control System Corporation
  Experimental and Simulative investigation of the impact of LWR
  Zhou Fang, Wang Zhanyu, Chang Liu, SMIC
  Exposure system improvement for greater productivity
  Takahiro Takiguchi, Kenta Kita, Yosuke Takarada, Ryo Kasai, Satoru Sugiyama, Tsuneari Fukada, Canon Inc.
  The Principle of Source Mask Optimization (SMO) Pattern Selection in Complex Two-dimensional Situation Based on the Diffraction Spectrum
  Miao Xia, Song Bai, Tao Song, SMIC Advanced Technology R&D Co. Ltd.