** to designate keynote talk - 30 min Sponsored by:  
* to designate invite talk - 25 min
  to designate regular talk - 15 min


Symposium Chair: Ying ZHANG
Symposium Co-chairs: Maxime Darnon and Tom Ni

Online Conference  
Parallel Symposium Oral Sessions: June 29-July 17, 2020


Session I: Lithograpy/Etch joint session (II & III)
** DRAM, NAND, and Emerging Memory Technology Update

Jeongdong Choe, TechInsights
** The Law that Guides the Development of Photolithography Technology and the Methodology in the Design of Photolithographic Process

Qiang Wu, ICRD
Session II: Advanced Patterning
* 2-D Device Scaling to Gate-all-around beyond and Technology Challenges

David Xiao, IMEC
Session III: FEOL/MOL Etching

5 NM Fin SAQP Process Development And Key Process Challenge Discussion

Yushu Yang, Shanghai IC R&D Center
* Patterning of 3D Fin-Gate features at deeply scaled dimensions

Liping Zhang, IMEC
* 14nm Fin SADP Patterning Processes and Process Integration

Chunyan Yi, Shanghai IC R&D Center
Session IV: FEOL/MOL Etching
* Challenges and Solutions in Si Trench Etch for Power Devices

Shenjian Liu, AMEC
* Boron Doped a-Carbon Removal with downstream ICP Process

Jeyta Sahay, Mattson, US

Towards Microstructures with Ultrahigh Aspect-Ratio and Verticality in Deep Silicon Etching

Yuanwei Lin, NAURA

Critical Plasma Etch Processing of Wide Bandgap Materials for Power and RF Device Manufacturing

Dave Thomas, SPTS Technologies Ltd
Session V: Power Device, Wet/Clean and Productivity

TiN MHM AIO challenge and AMEC solution

Michael Tsai, AMEC

Highly Selective SiO2 Etching Employing Inductively Coupled Plasma

Kun Zhang, Advanced Micro-Fabrication Equipment Company Inc.
Session VI: ALE and Patterning

Analysis of Linewidth Uniformity, Line Edge/Width Roughness, and Overlay Budget of SAQP Process in a 5 nm Logic Process

Bowen Wang, Shanghai IC R&D Center

Understanding the Cooling and Particulate Challenges for Next Generation Atomic Layer Etch Technologies

Rajesh Melkote,Edwards Vacuum, LLC

Conference Poster Session: June 26-July 17, 2020

  Deposition of Metal Polymers on Photoresist from Dry Metal Etching
  Chong Wei Chun, X-FAB Sarawak Sdn. Bhd.
  An Alternative Way to Achieve High Removal Rate of TiN MHM with Good Uniformity in Wet Etch
  Xiang MingYuan, Lam Research
  A novel two-step approach to improve depth uniformity in trench etching for power device application
  Tianbai Xu, Advanced Micro-Fabrication Equipment Company Inc
  Micro Trench Formation during Plasma Etching
  Hu Zhou, Advanced Micro-Fabrication Equipment Company Inc.
  Metal Hard Mask Open Process Window Enhanced by Insertion of Polymer Deposition
  Li Fei Sun, Lam Research Service Co., Ltd
  Application of a Bevel Etch Process for Improving Particle Performance in CMOS Image Sensor Manufacture
  Sun Yiling, Lam Research Corporation
  RF technologies for Ion energy distribution control in ICP etchers
  Kui Zhao, AMEC
  SILICON WAFER THINNING PROCESS BY DRY ETCHING WITH LOW ROUGHNESS AND HIGH UNIFORMITY
  Zihan Dong, Renzhi Yuan, Yuanwei Lin, NAURA Technology Group Co., Ltd.
  Profile and Hard-mask Remaining Study for MRAM MTJ Ion Beam Etching
  Caigan Chen, Lam Research
  Improvement research of round convex residue in dual gate layer
  Mingguang Hang, Lili Jia, Fang Li, Jun Huang, Wenyan Liu, Hong Li, XinHao Wu, Zhongyuan Liu, HLMC
  Advantage Timely Energized Bubble Oscillation Megasonic Nano-spray method to eliminate surface particle defect in lightly Doped Drain 28nm
  Li Hong, Fang Li, Wenyan Liu, Jun Huang, Yu Zhang, HLMC
  Optimization of 28nm SiGe Sigma Shape Trench Depth Loading Effect
  Lili Jia, Wenyan Liu, Fang Li, Jun Huang, HLMC
  Well CD Control and Vertical Profile BARC Etch Development and Related Theory Research
  Jiang linpeng, Shanghai Huali Integrated Circuit Corporation
  Impact Of Rework Process to Etch Bias And The Corresponding Solution
  Pengkai Xu, ShangHai HuaLi Microelectronics Corporation
  Novel Method Achieved Much Better Sidewall Protection by in Situ ALD Process in Plasma Etch Chamber for 3D NAND
  Stephen Liou, Lam Research
  A study in 2-D NAND Flash 19nm Gate Etch Process for the balance between profile and free fence &pitting
  REN JIA, SHANGHAI HUALI MICROELECTRONICS CORPORATION
  The Development and Application of Teflon coating in ETCH Chamber
  Guilin Yang, Advanced Micro-Fabrication Equipment Inc.
  Pulsing Plasma in CD Profile and Depth Control
  Sha-Sha Wang, Applied Materials
  Pulsing Plasma Application for Controllable Profile and Microscopic Uniformity
  Kejun Zhang, Applied Materials
  Rectangular suspended single crystal Si nanowire with (001) planes and direction developed via TMAH wet chemical etching
  Shuang Sun, Peking University
  Manipulation of etch uniformity of ICP etchers through IED control
  Shiliu Yin, AMEC
  Study of 28nm SiGe Sigma Cavity Silicon Trench Formatio
  Li Fang, Liu Wenyan, Jia Lili, Shanghai Huali Integrated Circuit Manufacturing Corporation
  Profile Modification in a High Aspect Ratio Carbon Mask Open Process 
  Tianyin Sun, Lam Research
  Effective Lithography Leveling Improvement was Achieved by Retaining Wafer Back-surface Nitride During a Novel SMT Nitride Remove Process 
  Weiwei Ma, Shanghai Huali Integrated Circuit Corporation
  A study on improving dual gate rework reliability through single wet strip process 
  Yan Yu, Shanghai Huali Integrated Circuit Corporation
  Improved Selective Silicon Nitride Etch for Advanced Logic and Memory Applications 
  Chien-Pin Sherman Hsu, Avantor
  RPG Barc Etch Challenge and Solution in FinFET Structure below 7nm 
  Jenny Zhang, AMEC
  Characterization and Optimization of GaN Layer Etch 
  Jiale Tang, Jiangsu Normal University
  Study of the Damage Free Dual-fluid Spray Cleaning Nozzle and Cleaning Method 
  Xiangxin Li, NAURA
  Influence of Chamber Clean Condition in Poly Silicon Plasma Etching 
  Ke Liu, NAURA
  Silicon Etch for FinFET Devices of 14nm and Beyond Using NMC612D Tool
  Guang Yang, NAURA
  The Solution of AIO-ET Via Open and Process Window Improvement
  Baichun Zhang, Shanghai Huali Microelectronics Corporation
  The Solution of Contact Etch Open Short
  RenHui Xu, Shanghai Huali Microelectronics Corporation
  Optimized Work Function Metal Layer Damage Effect in Metal Gate BARC Etch Process by ICP Etch System
  Kai Qian, Shanghai Huali Microelectronics Corporation
  Oxide to CESL Selectivity Enhancement by AlE in Contact Etch
  Hexin Zhou, Lam Research