(** to designate keynote talk, * to designate invite talk)

Sponsored by:
Monday, March 18, 2019 Shanghai International Convention Center
Meeting Room: 3B

Session I: Integration -- FEOL
Session Chair: Zhen Guo
 
**13:30-14:00 3D hybrid scaling of CMOS for the next scaling era
  Julien Ryckaert, IMEC
*14:00-14:25 Cobalt Electrofill for Future Generations of Contacts and Interconnects
  Tighe Spurlin, Lam Research
14:25-14:40 Difficulty involved to observe the surface smoothing effect of an amorphous high-k dielectric thin film deposited by atomic layer deposition on a metastable metal film
  Wai Shing Lau, D. Li, H. Wong, S. Dong, Zhejiang University
14:40-14:55 Surface smoothing and roughening effects of high-k dielectric materials deposited by atomic layer deposition and their significance for MIM capacitors used in DRAM technology
  Wai Shing Lau, Zhejiang University
14:55-15:10 An efficient method of wafer thermal uniformity improvement and wafer edge yield enhancement by utilizing backside film removing
  Zhejun Liu, Peng Wang, Ran Huang, Ying Xu, Wei Zhou, Shanghai Huali Microelectronics Corporation
15:10-15:25 Coffee Break
   

Session II: Integration -- BEOL
Session Chair: Zhao Chao

**15:25-15:55 Integration Options for Enabling Fully Self Aligned Via
  Gayle Murdoch, IMEC
*15:55-16:20 DFI™:  Detect the Undetectable with Design for Inspection
  Klaus Schuegraf, PDF Solution
16:20-16:35 Detect, Understand and Fix Starburst Defect at Via Litho Film Stacks
  Zhiguo Sun, Eswar Ramanathan, Mary Claire Silvestre, Tingge Xu, Kripa Chauhan, Vijaya Rana, Joseph Shepard Jr, Vijayalakshmi Seshachalam, Minrui Wang, Anbu Selvam KM Mahalingam, Yang Bum Lee, Globalfoundries
   


Tuesday, March 19, 2019 Shanghai International Convention Center
Meeting Room: 3B

Session III: Thin Film -- FE / ALD
Session Chair: Beichao Zhang 
 
**8:30-9:00 Advanced Ti-based silicides Ohmic contacts in sub-16/14 nm nodes
  Dr. Jun Luo, IMECAS
9:00-9:15 Press-fit Whisker and Its Growth Contributing Factors-Part I
  Flora He, Dennis Chan, Crystal Li, Geoffrey Tong, Dennis Yee, Dow Electronic Materials
  Shuzhao Cao, Xi Cao, Huawei Technologies Co., Ltd.
  John Lehman, Amphenol ICC
9:15-9:30 The effect of TiN cap layer thickness and N2 flow on threshold voltage and resistance in high-k metal gate integration
  Cheng Liu, Meng Shi, University of Chinese Academy of Sciences
  Jianqiang Liu, Zirui Liu, Aiji Wang, Feng Shi, Semiconductor Manufacturing North China Corporation
  Haiyang Mao, Smart Sensing R&D Center, Institute of Microelectronics of Chinese Academy of Sciences
9:30-9:45 Brief Introduction of Thermal Oxidation Technology
  Yan Sun, Yanhua Wu, Kexue Liu, Wenfei Zhou, Beijing NAURA Microelectronics Equipment Co., Ltd
9:45-10:00 The Study of Relation Between Temperature Distribution on Silicon Wafer with Gas Flow Rate and Temperature Ramp Rate
  Kexue Liu, Yanhua Wu, Yan Sun, Yuanzhi Li, Wenfei Zhou, NAURA Technology Group Co., Ltd.
10:00-10:15 Coffee Break
   

Session IV: Thin Film -- BE / Plating
Session Chair: Huang Liu

**10:15-10:45 Alternative metallization for advanced interconnects
  Dr. Christoph Adelmann, IMEC
*10:45-11:10 Direct Copper Damascene Fill on Cobalt Liner Structures
  Lee Brogan, Lam Research
*11:10-11:35 Developments of Cu Barrier/liner Materials for 10nm CMOS Technology Node & Beyond
  Dr. Xiaoping Shi, Naura
*11:35-12:00 BEOL Low-k Dielectrics for 5nm and below
  Dr. Kang Sub Yim, AMAT
12:00-13:30 Lunch Break
   

Session V: New Technology -- New Device
Session Chair: Xiaoping Shi

**13:30-14:00 Advanced Nanoscale Magnetic Tunnel Junctions for Low Power Computing
  Weisheng Zhao, Beihang University
14:00-14:15 Properties of PVD AlN for LED applications
  Bingliang Guo, Boyu Dong, Xuewei Wu, Xinying Li, Shubo Wu, Yilong Yang, Yujie Liu, Jiahao Zhang, Lu Zhang, Yujing Chen, Chenguang Zhao, Yinggong Ma, Xiaoping Shi, Hougong Wang, Peijun Ding, Beijing NAURA Microelectronics Equipment Co., Ltd.
14:15-14:30 Preparation and thermochromic properties of W-VO2@SiO2 nanospheres array for smart windows
  Liwei Zhou, Ming Hu, Xiaoyong Qiang, Tianjin University
14:30-14:45 Synthesis of WSe2 by Chemical Vapor Deposition and Influence of Hydrogen on Morphology
  Xichao Di, Fang Wang, Junqing Wei, Baojun Zhang, Xin Lin, Kailiang Zhang, Tianjin University of Technology
14:45-15:00 Improved surface acoustic wave characteristics of c-axis oriented AlN films with ZnO buffer layer
  Shuo Yan, Fang Wang, Kaifei Dong, Meng Deng, Yujie Yuan, Yinping Miao, Kailiang Zhang, Tianjin University of Technology
15:00-15:15 Improved rapid Ammonia sensor based on Ag modified Silicon Nanowires incorporated with Pd Nanoparticles
  Xiaoyong Qiang, Tianjin University
15:15-15:30 Coffee Break
   

Session VI: New Technology -- New
Session Chair: Larry Zhao

15:30-15:45 A New Differential Pressure Based Mass Flow Controller for Advanced Semiconductor Processing
  Mohamed Saleem, Alexandra Liso, Anthony Kehoe, Brooks Instrument
15:45-16:00 Mechanisms for PFC Formation in CVD Applications
  Ma Zhen, Prof. Mike Czerniak, Edwards Technologies Trading (Shanghai) Co.,Ltd
16:00-16:15 Comprehensive Study of Interfacial Charges in the GEOX/AL2O3 Gate Stack of GE by Ozone Oxidation
  Lixing Zhou, Chao Zhao, Tianchun Ye, Wenwu Wang, Institute of Microelectrics of Chinese Academy of Science, University of Chinese Academy of Sciences
  Xiaolei Wang, Jinjuan Xiang, Institute of Microelectrics of Chinese Academy of Science
16:15-16:30

Plasma Process Optimization of Silicon Film Deposition from trichlorosiliane precursor with OES Monitoring

  Chien-Chieh Lee, Song-Ho Wang, Hsueh-Er Chang, Yiin-Kuen Fuh, Tomi T. Li, National Central University
  Ya-Hui Chiou, Hsin-Chuan Cheng, Shihlien Fine Chemicals Co., Ltd
   
Poster Session: Location: 5th Floor    
Coffee Break Study of spacer formation in FDSOI technology
  Yang Song, Kang Ye, Changfeng Wang, HLMC
  RF PVD -Bottom Coverage and Overhang Improvement for HAR contact
  Qingjun Ni, Aileen Kang, Lei Zhu, Applied Materials
  Cu Barrier & Seed Integration for Advanced Copper Interconnection
  Qingxia Fan, Guilong Wu, Qingshan Zhang, Jian Kang, Applied Materials China
  Extending PVD Cu Barrier & Seed to the 10nm Node and Beyond
  Qingxia Fan, Guilong Wu, Qingshan Zhang, Jian Kang, Applied Materials China
  Integrated Circuit Back End of Line Defect Detection
  Wang Wei, Zhu zhenhua, SMIC
  Fabrication of Flexible Surface Acoustic Wave Devices Based on Aluminum Nitride
  Meng Deng, Fang Wang, Kaifei Dong, Dianyou Song, Shuo Yan, Kailiang Zhang, Tianjin University of Technology
  Study of Glue Layer Anneal Impact on W Contact Void Mitigation
  Qinggang Zhou, Chenyu Wang, Ganming Zhao, Applied Materials
  Specific Solution to Extend Anneal System Productivity
  Guangyao Shen, Qinggang Zhou, Chenyu Wang, Applied Materials
  Atomic Layer Deposition TaN Barrier Application in BEOL Cu Interconnection
  Guilong Wu, Liechao Luo, Qingshan Zhang, Qingxia Fan, Jian Kang, Applied Materials China, Shanghai, China
  AMAT Metal Deposition Product for 28nm Metal Gate Integration Solution
  Qingshan Zhang, Guilong Wu, Qingxia Fan, Jian Kang, Applied Materials China
  Contact Resistance Improvement by TaN/Ta Integration
  Qingshan Zhang, Guilong Wu, Qingxia Fan, Jian Kang, Applied Materials China
  APF 550 defect reduction
  Xiang Li, Applied Materials
  Improve the Performance of Metal Contamination of HDP
  Xiang Li, Applied Materials
  Interfacial Study for Enhanced AlN/ODC Stack Film Application in Advanced Nodes
  Cesar Ji, Lam Research
  Factors Affecting Peeling Defects from PECVD A-Si Film on Silicon Oxide Substrate
  Zhengyang Liu, Lam Research