Symposium III: Dry & Wet Etch and Cleaning

Symposium Committee

Dr. Ying ZHANG
Chair
 
   
Dr. Maxime Darnon
Co-Chair
French Institute for Scientific Research (CNRS), France
   
Dr. Tom Ni
Co-Chair
Advanced Micro-Fabrication Equipment Inc. (AMEC), China
   
Mr. Jinrong Zhao
Member
Naura, China
   
Dr. Steven Zhang
Member
SMIC, China
   
Dr. Kaidong Xu
Member
Leuven Instruments, China
   
Dr. Ying Huang
Member
Applied Material, China
   
Dr. Huiyuan Pei
Member
Lam Research, China
   
Dr. Kevin Zhou
Member
Naura, China
   
Dr. Ying Xiao
Member
TEL, China
   
Dr. Jianping Zhao
Member
TEL, USA
   
Dr. Richard Yang
Member
Fortune Precision Equipment, China


Mr. Hai Cong
Member
Advanced Micro-Fabrication Equipment Inc. (AMEC), China
   

Symposium III: Dry & Wet Etch and Cleaning

• Advanced Patterning
 - SADP, SAQP, LEn Patterning
 - EUV single or double patterning
 - Self-aligned patterning schemes

• Advanced FEOL etching
 - Fin formation
 - Replacement dummy gate stack etching for FinFET, tri-gate devices, Si nanowire, GAA, etc.
 - Spacer etching
 - CD/CDU and LER/LWR control

• Advanced interconnect etching, MOL/BEOL etching
 - Contact, CA and self-aligned CA patterning and etching
 - Etching of low-k dielectric materials
 - Low-k and ultra low-k trench-via patterning, mask opening, CD, profile control, damage cleaning
 - Self-aligned via (SAV) etching for metal hardmask dual-damascene scheme
 - LWR control

• Etching challenges for advanced 193nm immersion lithography and advanced double exposures and double etching integrations
 - Advanced photo resist trimming and etching
 - Etching challenges for tri-layer mask integration schemes

• Interactions of plasma and other treatments with photoresists
 - The fundamentals of surface interactions of plasmas and photoresists
 - Advanced photoresist treatment for the enhancement of pattern transferring

• Plasma Processing for 3D Integration, TSV and MEMS/NMES

• Advanced memory etching and patterning
 - Advanced memory materials
 - DRAM and 3D NAND memory
 - Advanced nonvolatile memory, such as MRAM, PCM, and other new memory devices

• Advanced plasma sources and process control

• Photo resist stripping and clean

• Post plasma treatment cleaning

• Wet etching and cleaning