(** to designate keynote talk, * to designate invite talk)

Sunday, March 11, 2018 Shanghai International Convention Center
Meeting Room:3rd Floor Yellow River Hall 黄河厅

Joint Session: Symposium II and Symposium III-Lithography/Etch joint session
Session Chairs: Kafai Lai / Ying Zhang

13:30-13:35 Opening Remarks
  Kafai Lai / Ying Zhang
**13:35-14:05 Patterning Roadmap
  Rich Wise, Lam Research
**14:05-14:35 Patterning challenges and opportunities for Advanced Memory Technology
  Gill Lee, AMAT
*14:35-14:55 Desirable material selection on Self-aligned Multi-patterning
  Hidetami Yaegashi, TEL
14:55-15:10 Coffee Break
 

Session II: Computational Lithography
Session Chairs: Yayi Wei / Shiyuan Liu

**15:10-15:40 Machine Learning for Computational Lithography
  Yu Cao, ASML-Brion
*15:40-16:00 Lithography simulations for flat panel display manufacturing
  Thomas Muelder, Synopsys
*16:00-16:20 Full-chip GPU-Accelerated Curvilinear Dose and Shape Correction for EUV Photomasks
  Ryan Pearman,D2S
*16:20-16:40 Resist Model Setup for Negative Tone Development at 14nm Node
  Lijun Zhao, Institute of Microelectronics of Chinese Academy of Sciences
16:40-16:55 Source and Mask Co-Optimization Based on Depth Learning Methods
  Yijiang Shen, Guangdong University of Technology
16:55-17:10 An Optical Proximity Model for Negative Toned Developing Photoresists
  Qiang Wu, SMIC
17:10-17:25 Lens Thermal Behavior Based Exposure Process Control for Flat Panel Display Lithographic Tools
  Zhiyong Yang, Huazhong University of Science and Technology
   
Poster Session: Location: 5th Floor    
Coffee Break Study of Unique Pseudo Buried Layer in 0.18um SiGe BiCMOS Process
  Donghua Liu, HHGrace
  Lithography Process Optimization for Emitter Window in SiGe-HBT Device
  Ziquan Fang, HHGrace
  A Fast Directed Self-Assembly Model Based on Support Vector Machine for Grapho-Epitaxy Hole Process
  Peng Gong, Huazhong University of Science and Technology
  Study on Planarization Performance of Spin on Hardmask
  Huichan Yun, Samsung SDI
  The Application of Dosemapper in SOI Dummy Gate Process
  KE CHEN, SMIC
  Multi-armed Block Copolymer for sub-10 nm Directed Self-assembly
  Jianuo Zhou, Fudan University
  A Novel Lithographic Material Constructed by Polymerizable Liquid Crystal Molecule
  Hai Deng, Fudan university
  A Study of Photoresist Residue Defect induced by Substrate Surface condition
  Zhou Fang, SMIC
  Investigation of the process window capability in 14nm Via lithography with the Negative Tone Developing Process
  Haihua Chen, SMIC
  Challenges and Solutions of few-line patterns with freeform illumination in immersion lithography
  Peipei Liang, SMIC
  The framecell design co-optimization with process in advanced technology nodes
  Qiao qiao Li, SMIC
  Research of Lithograph Process of Polymide Photo Resist for Passivation Thick Films
  Zhao Wang, R&D Center, CRRC Yongji Electric Co., Ltd.
  A Rigorous-Coupled-Wave-Analysis for Micro-Diffraction-Based-Focus Function in Advanced Node
  Xuan Liu, SMIC
  Study of Lithography System Resolution Based on Partially Coherent Theory
  Qin WANG, SMIC
  The Study on the Diffraction Spectrum of Adding SBAR during the Source Mask Optimization (SMO) Process
  Miao XIA, SMIC
  Illumination Source Optimization coupled with NTD Process in Metal Layout
  Zhifeng Gan, SMIC
  Overlay measurement calibration for deformation wafers through multiple types of marks
  Tao Song, SMIC
  Nanometer Scale Mixed Pattern Formed by Self-aligned Spacer Image Transfer and Optical Lithography Technology
  Zhaohao Zhang, IME
  Next Generation DUV Light Source Technologies for 7nm and below
  Simon Hsieh, Cymer-ASML


Monday, March 12, 2018 Shanghai International Convention Center
Meeting Room: 3rd Floor Yellow River Hall黄河厅

Joint Session: Symposium II and Symposium IX-DTCO joint session
Session Chairs: Yiyu Shi / Leo Pang

8:30-8:35 Opening Remarks
  Yiyu Shi / Leo Pang
**8:35-9:05 Close – loop – design and manufacturing optimization for advanced nodes
  Steffen Schulze, Mentor Graphics
**9:05-9:35 Machine Learning for Lithography and Physical Design
  David Pan, University of Texas at Austin
**9:35-10:05 Novel Approaches to Circuit Timing
  Ulf Schlicthmann, Technical University of Munich
10:05-10:20 Coffee Break
   

Session IV: Tool, Mask & Metrology
Session Chairs: Motokatsu Imai / Qiang Wu


**10:20-10:50 High Power LPP-EUV Source with Long Collector Mirror Lifetime for High Volume Semiconductor Manufacturing
  Hakaru Mizoguchi, Gigaphoton Inc.
*10:50-11:10 Multi-beam mask writer MBM-1000
  Hiroshi Matsumoto, NuFlare
*11:10-11:30 Display photomask requirements have reached a new level and matches low end semicon
  Robert Eklund, Mycronic
*11:30-11:50 Robust overlay metrology by Mueller matrix ellipsometry with a differential calculus
  Xiuguo Chen, HUST
*11:50-12:10 Advanced CD-SEM metrology for Process control of 14 nm-node HVM and beyond
  Takeshi Kato, Hitachi High Technologies
12:10-13:40 Lunch Break
   

Session V: Multiple patterning
Session Chairs: Wanh Yueh / Zhimin Zhu


*13:40-14:00 Accessing light source driven contrast variation impact on hotspots using Lithography Manufacturability Checker (LMC)
  Will Conley, ASML-Cymer
*14:00-14:20 Sketch and Peel Lithography for Multiscale Patterning
  Huigao Duan, Hunan University
*14:20-14:40 Inter-mask effects in EUV lithography
  Christopher Progler, Photronics Inc.
14:40-14:55 Study on Spin-on Hardmask for Quad-layer Application
  Yushin Park, Samsung SDI
14:55-15:10 Comparative Analysis of Resist Model Stability in Negative Tone Development Process
  Hua Cai, SMIC
15:10-15:25 Gate patterning strategies of vertically stacked gate-all-around silicon nanowire MOSFET devices
  Lingkuan Meng, Institute of Microelectronics, Chinese Academy of Sciences
15:25-15:40 Coffee Break
   

Session VI: Process & Material
Session Chairs: Gyomei Shiba / Hai Deng


*15:40-16:00 Advanced Topcoat and Embedded Barrier Layer (EBL) for 193nm Immersion Lithography
  Cong Liu (Colin), Dow Chemical
*16:00-16:20 Advanced Lithography Material Status toward 7nm Node and beyond
  Kouichi Fujiwara, JSR
*16:20-16:40 High fedelity lithography against stochastic effects
  Zhimin Zhu, Brewers science
*16:40-17:00 Advanced Photoresist and Material development in China
  Yusong Sun, Hantop
17:00-17:15 A Study of Multi-Factor Surface Influence on Resist LWR Performance
  Dongxu Yang, SMIC
17:15-17:30 Ultra-Fast Directly Self-Assembly Materials for Sub-5 nm Lithographic Patterning
  Xue Miao Li, Fudan University