** to designate keynote talk - 30 min Sponsored by:  
* to designate invite talk - 25 min
  to designate regular talk - 15 min

Sunday, March 14, 2021 Shanghai International Convention Center
Meeting Room:

Session I:
Session Chair:
*13:30-13:55 Advancing to the Next Node and Competing Globally Using Virtual Fabrication
  Joseph Ervin, IMEC
*13:55-14:20 Advanced memory and logic patterning trends and Applied Material’s solutions to meet the technical challenges
  Dimitri Kioussis, Applied Materials
14:20-14:35 IMPROVEMENT OF FIN BRIDGE DEFECT FOR FINFETSTECHNOLOGY
  Junhong Zhao, SMIC
14:35-15:00 Coffee Break
   

Session II:
Session Chair:
*15:00-15:25 Application Investigation of Co-Ti Alloy as Single Liner/Barrier in Advanced Co Interconnects
  Luo Jun, IMECAS
15:25-15:40 Mechanism of reverse leakage current in Schottky diodes used in microelectronics
  Wai Shing Lau, Xinjiang University
15:40-15:55 IMPROVEMENT OF WAFER EDGE DEFECT FOR FINFETS TECHNOLOGY
  Junhong Zhao, Semiconductor Manufacturing International Corp
   


Monday, March 15, 2021 Shanghai International Convention Center
Meeting Room:

 
Session III:
Session Chair:
*8:30-8:55 Area Selective Deposition: fundamentals and applications
  Dr. Silvia Armini, Imec Belgium
8:55-9:10 Developments of Improving STI Formation and Quality by Annealing for 28nm CMOS Technology Node & Beyond
  Yan Sun, NAURA
9:10-9:25 Interface chemistry in post-metal-anneal of Si/SiO2/HfO2/TiN gate stacks
  Wu qingqing, Shanghai IC R&D Center
9:25-9:45 Coffee Break
   

Session IV:
Session Chair:
*9:45-10:10 (Virtual)Theoretical and Experimental Approach to Design CVD/ALD Processes
  Yukihiro Shimogaki, Tokyo University
10:10-11:25 Mechanism B I-V symmetry for MIM capacitors used in microelectronics
  Wai Shing Lau, Nanyang Technological University
11:25-11:40 Optimization for Thick Oxide Deposition in 3D NAND Application
  Shasha Wang, Applied Materials
11:40-13:05 Lunch Break
   

Session V:
Session Chair:
*13:05-13:30 Monolithic Integration of Thin Film Photodiode with CMOS Technology for Infrared Imaging Applications
  Yunlong Li, IMEC, Belgium
13:30-13:45 Optimization of Selective Inhibition for Void-Suppressed Tungsten Gap-fill
  Xin Gan, Lam Research
13:45-14:00 Is A Universal Copper Plating Process Possible
  Yun Zhang, Shinhao Materials LLC
14:00-14:20 Coffee Break
   

Session VI:
Session Chair:
*14:20-14:45 Keys to Extending Cu Interconnect to 3 nm and Shift to Alternative Conductor
  Dr. Takeshi Nogami, IBM Research
14:45-15:00 Machine Learning Assisted In-situ Sensing and Detection on System of PECVD Depositing Hydrogenated Silicon Films
  Yu-Pu Yang, National Central University
       
Poster Session:    

Investigation on Channel Plasma Effect in Doped Tin-Oxide Thin-Film Transistors Using Experiments and Simulation
  Zong-Wei Shang, Xiamen University
  SPARC SiCO: New Contact Metal Liner in Logic Advanced Nodes
  Cesar Ji, Lam Research
  AMAT HDP-CVD IMD Particle Reduction and Mechanism
  Tengfei Zhang, Applied Materials (China), Inc.
  A Novel AMAT Helium Free Producer GT PETEOS Process to Reduce CoO
  Wei Xia, Applied Materials (China), Inc.
  Solutions of controlling Metal Gate Size and Profile for Logic FinFET Technology
  Geng Jinpeng, Shanghai IC R&D Center
  Optimization of Clean Recipe for Producer GT RPS and Clean Efficiency
  Xiang Li, Applied Materials
  Tunning of SiGe channel edge profile for 22 nm FDSOI application
  Yongyue Chen, Shanghai Huali Integrated Circuit Corporation
  A Novel Method to Mitigate TiN Chlorine Residues in DRAM
  Guangyao Shen, Applied Materials
  Modified Pre-clean Chamber for Via Rc and CuBS System Throughput Improvement
  Caimin Meng, Applied Materials China
  Cirrus HTX TiN Metal Hardmask for 14nm FinFET BEOL Application
  Pingyuan Lu, Applied Materials China. Shanghai. China
  Electromigration Performance Improvement by Cobalt Integration beyond 20nm Node
  Qingxia Fan, Applied Materials China
  Applied Materials? Novel Aluminum PVD Chamber for Bond Pad Fabrication
  Chen Shen, Applied Materials China
  In-situ Plasma Clean for PVD Chamber Electrostatic Chuck Preventive Maintenance
  Chen Shen, Applied Materials China
  AMAT SALD W for high AR via gap fill application
  Qingjun Ni, Applied Materials
  A Study of Post-Clean N2O Plasma Treatment for PECVD High Stress Silicon Oxide Film Thickness Uniformity Stability
  Min Shudi, Lam Research Service Co. Ltd.
  Selective Growth Delay Performance Study of WCN on Various Substrate
  Ao Yang, Lam Research Service Co.,Ltd
  Applied Endura? Fluorine Free W Application for Low Metal Gate Resistance
  Subo Cao, Applied Materials China
  Selective Tungsten Deposition for 7nm and Beyond Contact Via Fill
  Ning Ma, Applied Materials China
  Applied Endura? ALD TiSiN for Advanced Metal Gate Barrier Application
  Ze Yuan, Applied Materials
  SiH4 Soak Impact on BEOL Cu RC Delay and Reliabilityn
  Lam Research, Field Process Engineer
  Influence of Different Pressures on Characteristics of Plasmas in PECVD Chamber
  Xingyu Li, Jiangsu Normal University
  Structural and Electrical Properties of Ti-C Thin Films for Metal Gate
  Kamale Tuokedaerhan, Xinjiang University
  Investigation of the optical properties of a-Si:H films deposited by PECVD using various experimental techniques
  Yudong Zhang, Jiangsu Normal University
  Good sensitivity and high stability of NaTaO3 humidity sensor
  Min Zhang, Xinjiang University