(** to designate keynote talk, * to designate invite talk)

Monday, March 18, 2019 Shanghai International Convention Center
Meeting Room: 3rd Floor Yellow River Hall 黄河厅

Session I: Neuromorphic Computing Technology I
Session Chairs: Ru Huang & Chung Lam

**13:30–14:00 Non-volatile Memory for Neuromorphic Computing
  Sangbum Kim, Seoul National University
*14:00–14:25 Towards Efficient AI On-a-chip: Joint Hardware-algorithm Approaches

Yu Cao, Arizona State University
*14:25–14:50 Computing with Memristive Devices and Arrays

J. Joshua Yang, University of Massachusetts
14:50–15:05 Efficient Weight Mapping Scheme for Analog RRAM based Neuromorphic Computing Circuit

Shuanglin Zhang, Huaqiang Wu, Bin Gao, He Qian, Tsinghua University
15:05–15:20 Reconfigurable Logic Computing in Memristive Crossbar Array for Arithmetic Logic Unit Design
  Long Cheng, Yi Li, Miaomiao Jin, Siyu Hu, Kangsheng Yin, Zhuorui Wang, Yaxiong Zhou, Xiangshui Miao, Huazhong University of Science and Technology
  Ting-Chang Chang, National Sun Yat-Sen University
15:20–15:30 Coffee Break



Session II: Advanced Devices and Process Technology I
Session Chair: Cor Claeys

*15:30–15:55 Design & Technology Co-optimization for High Performance & Low Power Mobile SoC Productization
  Jun Yuan, Qualcomm

*15:55–16:20

Spin-Transfer-Torque Magnetic Random Access Memory: Co-Development of New Technologies and Architectures

Nuo Xu, Samsung Electronics

*16:20–16:45

Super Steep Switching CMOS Device Technology

Changhwan Shin, Sungkyunkwan University
16:45–17:00 Ultra-dense Silicon Nanowires with 3D Oblique Sidewall Growth

Xiaoxiang Wu, Han Yin, Shun Xu, Jun Xu, Yi Shi, Kunji Chen, Linwei Yu, Nanjing University



Tuesday, March 19, 2019 Shanghai International Convention Center
Meeting Room: 3rd Floor Yellow River Hall 黄河厅

Session III: Advanced Devices and Process Technology II
Session Chair: Ming Li

*8:30–8:55 Scaling and Printing Electronics Using Nanomaterials
  Aaron D. Franklin, Duke University
8:55–9:10 Investigation on LDMOS Characteristics of Layout Dependence in FinFET Technology
  Gang Wang, Byunghak Lee, Guiying Li, Nan Wang, Mike Tang, Kellin Ding, Breeze Zhou, Jianhua Ju, Semiconductor Manufacturing International (Shanghai) Corporation
9:10–9:25 A Novel 500-700V Ultra High-Voltage LDMOS with Low On-State Resistance by Multiple Local RESURF Enhancement Technology
  Mao Li, DeYan Chen, Dae-Sub Jung, XueJie Shi, Semiconductor Manufacturing International (Shanghai) Corporation
*9:25–9:50 AC NEGF Simulation: Efficient Implementation and Application to Nanosheet MOSFETs
  Sung-Min Hong, Gwangju Institute of Science and Technology
9:50–10:05 Coffee Break
   


Session IV: Negative-Capacitance FET
Session Chairs: Minhwa Chi & Jong-ho Lee

**10:05–10:35 Steep-Slope Hysteresis-Free Negative-Capacitance 2D Transistors
  Peide Ye, Purdue University
*10:35–11:00 Understanding of Negative Capacitance in Nanoscale by Two-dimensional Phase Field Simulations
  Cheol Seong Hwang, Seoul National University
*11:00–11:25 New Understanding of Negative Capacitance Devices for Low-Power Logic Applications
  Qianqian Huang,  Peking University
11:25–11:40 Investigation of Negative Capacitance Effect from Domain Switching Dynamics
  Kunkun Zhu, Qianqian Huang, Huimin Wang, Mengxuan Yang, Yang Zhao, Ru Huang, Peking University
11:40–13:30 Lunch Break
   


Session V: Neuromorphic Computing Technology II
Session Chairs: Yimao Cai & Peng Zhou

**13:30–14:00 Accelerating Deep Neural Networks with Analog Memory Devices
  Geoff Burr, IBM
*14:00–14:25 ReRam for Alternative Computing Architectures
  Khaled N. Salama, UCSD
14:25–14:40 A Mixed Hardware-software Investigation on 1T1R RRAM based Convolutional Neural Network for Neuromorphic Computing
  Jia Chen, Wenqian Pan, Yi Li, Rui Kuang, Nian Duan, Long Cheng, Zhuorui Wang, Xiangshui Miao, Huazhong University of Science and Technology
  Ting-Chang Chang, National Sun Yat-Sen University
14:40–14:55 The Impact of Interconnect Resistance on one-selector one-resistor (1S1R) Crossbar Array Performance
  Yujia Li, Tsinghua University, Beijing University of Technology
  Bin Gao, Huaqiang Wu, He Qian, Tsinghua University
  Wanrong Zhang, Beijing University of Technology
14:55-15:10 Effect of Different Top Electrodes on Performance of Low-power Flexible RRAM based on TE/HfO2/TiN Cell
  Jingwei Zhang, Fang Wang, Jiaqiang Shen, Wenxi Li, Zhengchun Yang, Kailiang Zhang, Tianjin University of Technology
15:10–15:25 Coffee Break
   


Session VI: Advanced Devices and Process Technology III
Session Chair: Wensheng Qian

*15:25-15:50 Fast Development of High-performance ICs in AI/IoT Era
  Min-Hwa Chi, SiEn (Qingdao) Integrated Circuits Cor.
*15:50-16:15 Defect Assessment in AlN Nucleation Layers Grown on Silicon and Silicon-on-Insulator Substrates
  Eddy Simoen,  IMEC
16:15-16:30 Flicker Noise Simulation by Physical Trap Model
  YiYu Chen, YongSheng Yang, XueJie Shi, SMIC
16:30-16:45 Tri-state Cross Point Memristors based on Heterogeneous van der Waals Structures
  Kaichen Zhu, X. Liang, B. Yuan, M. A. Villena, F. Hui, Y. Shi, M. Lanza, Soochow University
16:45-17:00 Memristor based on High-quality CVD-MoS2
  Jiaqiang Shen, Fang Wang, Wenxi Li, Jingwei Zhang, Jinshi Zhao, Kailiang Zhang, Tianjin University of Technology
17:00-17:15 Simulation of Low-pass Filter Circuit Based on TiOx-based Memristive Device
  Jingsi Sun, Yupeng Xing, Fang Wang, Wenxi Li, Kai Hu, Kailiang Zhang, Tianjin University of Technology
17:15-17:30 RFFE Integration Design for 5G in 0.13um RFSOI Technology
  Ruofan Dai, Jiangchuan Ren, Jun He, Jun Xiao, Weiran Kong, Shanghai Huahong Grace Semiconductor Manufacturing Corporation
17:30-17:45 Die Attachment Material based on Micro Silver Paste for the Application of High Powder Device
  Jinting Jiu, Tetsu Takemasa, Junko Seino, Yoshie Tachibana, Senju metal Industry Co., Ltd.


Poster Session: Location: 5th Floor
Coffee Break Optimization of RF performance and reliability of 28V RF-LDMOS
  Ying Cai, Zhengliang Zhou, Jiye Yang, Jingfeng Huang, Han Yu, Junlang Li, Shanghai Huahong Grace Semiconductor Manufacturing Corporation
  Haifeng Mo, Hu Peng, Yaohui Zhang, System Integration and IC Design Division Suzhou, Institute of Nano-Tech and Nano-Bionics (SINANO), CAS
  Impact of Annealing Temperature on Performance Enhancement for Charge Trapping Memory with (HfO2)0.9(Al2O3)0.1 Trapping Layer
  Zhenhua Wu, Institute of Microelectronics of Chinese Academy of Sciences
  Zhaozhao Hou, Jiaxin Yao, Jie Gu, Huaxiang Yin, University of Chinese Academy of Sciences, Institute of Microelectronics of Chinese Academy of Sciences

Thickness-dominated Forming Conditions of TaOx-based Memristor
  Chuang Li, Fang Wang, Wenxi Li, Jingwei Zhang, Jinshi Zhao, Kailiang Zhang, Tianjin University of Technology

Comprehensive Investigation of Flat-band Voltage Modulation by High-K NPT for Advanced HKMG Technology
  Wenjuan Xiong, Qingzhu Zhang, Zhenhua Wu, Institute of Microelectronics of Chinese Academy of Sciences
  Jiaxin Yao, Zhaozhao Hou, Huaxiang Yin, University of Chinese Academy of Sciences, Institute of Microelectronics of Chinese Academy of Sciences

A Method to Improve SST Flash Cell Program Function
  Chong Huang, Peizhong Zhang, Haiyan Qin, Yang Zhou, Shanghai Huahong Grace Semiconductor Manufacturing Corporation

Conductance Quantization in Oxide-based Resistive Switching Devices
  Qingxi Duan, Jingxian Li, Jiadi Zhu, Teng Zhang, Jingjing Yang, Yuchao Yang, Ru Huang, Peking University

Thickness Dependence Characteristics of High-κ Al2O3 Based Metal-Insulator-Metal Antifuse
  Min Tian, Huicai Zhong, Institute of Microelectronics of Chinese Academy of Sciences, University of Chinese Academy of Sciences

A High Efficiency N Channel Single Poly OTP Cell Structure in Standard CMOS Process
  Yu Chen, Yuan Yuan, Hualun Chen, Yuhua Zhang, Shanghai Huahong Grace Semiconductor Manufacturing Corporation

Improvement of Cell's Performance for Low Power Self-Aligned Split-Gate SONOS Memory Device
  Zhaozhao Xu, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai Huahong Grace Semiconductor Manufacturing Corporation
  Kegang Zhang, Wei Xiong, Donghua Liu, Jun Hu, Hualun Chen, Wensheng Qian, Shanghai Huahong Grace Semiconductor Manufacturing Corporation

Study of 7V Device Application Based on 0.18UM Platform Process
  Jun Hu, Jike Wu, Xiuliang Cao, Wensheng Qian, Shanghai Huahong Grace Semiconductor Manufacturing Corporation

A Study of LDMOS BV Improvement by Gate Architecture Optimization
  Ziquan Fang, Wensheng Qian, Shanghai Huahong Grace Semiconductor Manufacturing Corporation
  Zhaozhao Xu, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai Huahong Grace Semiconductor Manufacturing Corporation

Study of Drive-current Enhancement and Field Plate Optimization in 500V High-voltage NLDMOS
  Wenting Duan, Donghua Liu, Wensheng Qian, Shanghai Huahong Grace Semiconductor Manufacturing Corporation

Benefits of Nitrogen Co-implantation on 28nm SRAM
  Fanqin, Zhou Xiaojun, Liu Wei, Shanghai Huali Microelectronics Corporation

Study of Ultra High Voltage 500V NLDMOS with Aggressive Design of Drift Region
  Wenting Duan, Chunyu Yuan, Wensheng Qian, Donghua Liu, HuaHong Grace Semiconductor Manufacturing Corporation
  Zhaozhao Xu, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, HuaHong Grace Semiconductor Manufacturing Corporation

Study on the Data Trend of Pitch Line with Pupilgram
  Xie Weimei, Guo Lianfeng, Yu Shirui, Shanghai Huali Microelectronics Corporation

Crion-A Damage Engineering Solution in Advanced CMOS Devices
  Xiaoqi Li, Peng Yue, Qintao Zhang, Junfeng Lu, Applied Materials China

ULTIMA HDP CVD® Ultima-X IPMTM--- Extensive Gap Fill Technology
  Wei Xia, Tengfei Zhang, Lei Zhu, Xianyuan Li, Applied Materials

Significant Improvement of UTS CIS SRAM Vmin by Optimizing LDD Implantation
  Weiwei Ma, Chao Sun, Yamin Cao, Shanghai Huali Integrated Circuit Corporation

A Norvel Method for STI Top Corner Rounding by Etch Process to Improve Leakage and SRAM Performance
  Lin Gu, Shanghai Huali Microelectronics Corporation

An effective method to reduce bump defect is investigated
  Xiang Guangxin, Gong Yiqi, Wei Xiang, Luo Zhigang, Bao Yu, Zhou Haifeng, Fang Jingxun, Albert Pang, Shanghai Huali integrated circuit manufacturing company

A Study of Inductor and its Model in SiGe BiCMOS Process
  Zhang Jian, Donghua Liu, Shanghai Huahong Grace Semiconductor Manufacturing Corporation

Improved Ge doped Cap layer for embeded SiGe epitaxial growth in 28 nm CMOS technology
  Tanjun, Chenyongyue, Huangqiuming, Hongjiaqi, Yanqiang, Zhouhaifeng, Fangjingxun, Shanghai Huali integrated circuit manufacturing company

Optimization of Metal Hard Mask TiN for Tiny Particle Reduction
  Li xixiang, Bao Yu, Wang Xiaofang, Cao Yanpeng, Zeng Zhaoqin, Zhou Haifeng, Shanghai Huali integrated circuit manufacturing company

SiGe layers defect of 28nm node pMOSFETs in advanced CMOS technology
  Qiuming Huang, Yongyue Chen, Jiaqi Hong, Qiang Yan, Jun Tan, Haifeng Zhou, Shanghai Huali integrated circuit manufacturing company

Improved Endurance of SONOS Cell by Smart Poly Recessed Method
  Hualun Chen, Yuanyuan Shan, Yu Chen, Shanghai Huahong Grace Semiconductor MFG

Improved Data Retention of Single Gate PMOS OTP Cell by Dielectric Film Process Optimization
  Hualun Chen, Yuan Yuan, Yu Chen, Yuhua Zhang, Shanghai Huahong Grace Semiconductor MFG

Investigation of Quantum-Dot Characteristic Based on Different Bulk Silicon FinFET Device Models
  Jie Gu, Zhaozhao Hou, Jiaxin Yao, Zhenhua Wu, Huaxiang Yin, Institute of Microelectronics of Chinese Academy of Sciences, University of Chinese Academy of Sciences
  Zhenhua Wu, Institute of Microelectronics of Chinese Academy of Sciences

Nanometer-thin pure B layers grown by MBE as metal diffusion barrier on GaN diodes
  Shivakumar D Thammaiah, Lis K. Nanver, Aalborg University, University of Twente
  John Lundsgaard Hansen, Aarhus University

Warpage control method in epoxy molding compound
  Wei Tan, Hongjie Liu, Yangyang Duan, Linlin Liu, Xingming Cheng, Lanxia Li, Jiangsu HHCK Advanced Materials Co., Ltd
  Cheng Cheng, School of language and business Jiangsu Normal University

EFA Method of AA-BRIDGE-TYPE-DEFECT of Sonos nor Flash
  Qingwen Zhang, Zhimin Zeng, Yuxiang Zhang, Yuan Wu, Chenjie Zhou, Shanghai Huahong Grace Semiconductor Manufacture Corporation

Performance optimization of HfOx-based transparent RRAM
  Jinrong Huang, Fang Wang, Luguang Wang, Wei Mi, Baozen Zhou, Kailiang Zhang, Tianjin University of Technology

SRAM standby current fail analysis using OBIRCH, Voltage contrast and junction delineation
  Jinjin Xie, Xiangbai Ma, Zhimin Zeng, Shanghai Huahong Grace Semiconductor Manufacturing Corporation

Managing hazardous process exhausts in high volume manufacturing
  ANDREW CHAMBERS, Edwards Ltd.

AMAT RadianceTMPlus---Improved Solution for Ion-Implanted Anneal
  Jie Zuo, Lei Zhu, Applied Materials

Turbo Tune Application in Implantation Productivity Improvement
  Xiaoqi Li, Peng Yue, Qintao Zhang, Junfeng Lu, Applied Materials
  Zhenhui Wang, Ya Wang, Semiconductor Manufacturing International Corporation

High Performance NLDMOS with Optimized Channel and Drift
  Wensheng Qian, Ziquan Fang, Shanghai Huahong Grace Semiconductor Manufacturing Corporation
  Zhaozhao Xu, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai Huahong Grace Semiconductor Manufacturing Corporation

Impact of Forming Voltage Polarity on HfO2-based RRAM Performance
  Jian Kang, Zongwei Wang, Yishao Chen,Yichen Fang, Qilin Zheng, Yuchao Yang, Yimao Cai, Ru Huang, Peking University
  Jintong Xu, Shanghai Institute of Technical Physics, Chinese Academy of Science

Optimization of Passivation and Top Metal Layer Crack in Temperature Cycle Test of RF-LDMOS
  Ying Cai, Jingfeng Huang, Han Yu, Shanghai Huahong Grace Semiconductor Manufacturing Corporation

Product design optimization for prevent Bias Highly Accelerated Stress failure of RF-LDMOS
  Han Yu, Jingfeng Huang, Ying Cai, Shanghai Huahong Grace Semiconductor Manufacturing Corporation

The GSG-pad Layout Optimization for Test-key of mm-Wave Devices
  Quan Wang, Linlin Liu, Yueyi Feng, Wei Zhou, Ao Guo, Hongbo Ye, Yingjia Guo, Shanghai IC R&D Center Co., Ltd.

Investigation of Gate Oxide Preclean Process on Gate Oxide Integrity and Mechanism
  Qiang Liu, Jun Qian, ShanghaiHuali Microelectronics Corporation, Shanghai

On-Resistance Improvement Impacted by Trapping Effect in Fin-LDMOS Technology
  Gang Wang, Byunghak Lee, Nan Wang, Kellin Ding, Guiying Ma, SMIC

Tunneling Spin Valves Based on Fe3GeTe2/MoS2/Fe3GeTe2 van der Waals Heterostructures
  Yan Li, Minhao Zhang, Xuefeng Wang, Nanjing University

Building high performance electronics based on self-assembly silicon nanowires with precise location controls
  Han Yin, Xiaoxiang Wu, Jun Xu, Kunji Chen, Linwei Yu, Nanjing University

Improvement of SPAD Photon Detection Efficiency with Diffraction Window Layer
  Feiyang Sun, Zhong Wu, Sihui Zhu, Yue Xu, Nanjing University of Posts and Telecommunications
  Yue Xu, National and Local Joint Engineering Laboratory of RF Integration and Micro-assembly Technology

Digital and analog resistive switching with low operation voltage in HfOx-based memristors tuned by oxygen concentration gradient
  Zhaonan Li, Baoyi Tian, Biao Wang, Huajun Sun, Xiang Shui Miao, Huazhong University of Science and Technology

Best performance of LDMOS Power array with integrating thick Copper in 0.18um BCD Technology
  Xinjie Yang, Shanghai Huahong Grace Semiconductor Manufacturing Corporation

Application study of corrosion-resistant coating in gas transportation system
  Shenghua Zhu, Xingjian Chen, Tom Ni, Advanced Micro-Fabrication Equipment Inc.

3D integration of ultra-dense stacked silicon nanowires for multichannel field effect transistors
  Xiaoxiang Wu, Han Yin, Shun Xu, Jun Xu, Yi Shi, Kunji Chen and Linwei Yu, Nanjing University

TCAD Analysis about PMOS Doping Profile based on 28nm Technology
  TaoTang, YangKui Lin, XueJie Shi, Semiconductor Manufacturing International (Shanghai) Corporation

The effects of carbon on phosphorus diffusion and activation in Ge
  Xiangyang Hu, Bingxin Zhang, Xiaoyan Xu, Ming Li, Xia An, Ru Huang, Institute of Microelectronics, Peking University

Optimal VDD Assessment of CMOS Technology Considering Circuit Reliability Tradeoffs
  Minghao Liu, Shaofeng Guo, Runsheng Wang, Ru Huang, Institute of Microelectronics, Peking University

Investigation on LDMOS Characteristics of Layout Dependence in FinFET Technology
  Gang Wang, Semiconductor Manufacturing International Corporation (SMIC)

A High-Performance Source-Pocket Tunnel Field-Effect Transistor
  Gaobo Xu, Huaxiang Yin, Qiuxia Xu, Guilong Tao, Zhenhua Wu, Jianhui Bo, Jinshun Bi, Yongliang Li, Huajie Zhou, Haiping Shang, Jinbiao Liu, Junjie Li, Wenjuan Xiong, Junfeng Li, Huilong Zhu, Chao Zhao, Wenwu Wang, Institute of Microelectronics, Chinese Academy of Sciences

The investigation of source doping effect on on-state current in homojunction and heterojunction Tunneling FETs
  Shuang Xia, Qian Xie, Mingjun Liu, Zheng Wang, UESTC

Single-Ended Sub-threshold 9T SRAM Cell With Ground Cut-Off
  Smaran Adarsh, Tanmay M, M S Sunita, PES University

Improved Endurance of SONOS Flash Cells by Facile Lithography Processing Adjustment
  Ning Wang, Wei Xiong, Kegang Zhang, Hualun Chen, Pingsheng Zhou, Shanghai Huahong Grace Semiconductor Manufacturing Corporation

Local Layout Effect Impact to Single Device in SRAM 6T Cell
  Yijun Zhang, Nan Wang, Yu Li, Yuan Wang, Xiaohua Li, Guiying Ma, Yongcheng Zhai, Jianhua Ju, SMIC