Conference Plenary Speaker (2024)
           
Evolution of Moore's Law: a Perspective
Dr. Peng Bai, CEO, Rong Semiconductor
 
New Materials vs New Geometries in Electronic Devices
Prof. John Robertson, Emeritus Professor of Electronic Engineering at Cambridge University, UK
 






Integrated Module Approach to Solutions in the Specialty Device Market
Dr. Michael Chudzik, VP of Technology, IMS ICAPS & Packaging Semiconductor Products Group, Applied Materials

50 Years of Silicon Retina History
Prof. Tobias Delbruck, Professor in Neuromorphic Engineering, Institute of Neuroinformatics, Switzerland

           
MEMS - Sustainable Technologies for a Sustainable World
Dr. Giorgio Allegato MEMS Technology R&D Director Analog, MEMS and Sensor Group - STMicroelectronics
       
           
Partial List of Confirmed Distinguished CSTIC 2024 Keynote & Invited Speakers






  Enhance Chip Connectivity and Functionality through RRAM-based Monolithic 3D Integration
Jianshi Tang, Tsinghua University
 
A Full Spectrum of Computing-In-Memory Technologies
Zhong Sun, Peking University
 
           
  All-dielectric metasurfaces for vortex generation and Detection
Shumin Xiao, Harbin Institute of Technology
    2D devices and in-sensor computing
Feng Miao, Nanjing University
 
           
Defect Tolerant Physics-inspired Computing in Memristor Arrays
Can Li, University of Hong Kong
 
Single Element Switch
Min Zhu, Shanghai Institute of Microsystem and Information Technology
 
           
High endurance field-effect transistor memory based on Hafnium-oxide ferroelectrics
Kechao Tang, Peking University
    Implementation of Spintronic MRAM Circuits and Systems
Hao Cai, Southeast University

           
SRAM based Compute-in-Memory Circuits Design for CNN and Transformers
Xin Si, Southeast University
 
2D Material Devices for Advanced Computing
Han Wang, University of Hong Kong
 
           
  Recent progress of EUV Chemically Amplified Resist with Negative-Tone Development (CAR-NTD) for improving Chemical Stochastic
TORU FUJIMORI, FUJIFILM Corporation
    Innovated Methodology Improving CD Uniformity for Lithography Using Wafer-less Dynamic Grouping Process Characteristics
Yong-Qiang Che, Semiconductor Manufacturing Beijing Corporation
 
           
The Spin-on Multi-Layer Material Status for Advanced Device
Satoshi Dei, JSR Electronic Materials
    From Tape to Mirrors: 50 Years of Progress in Photomask Technology
Chris Progler, Photronics
 
           
Enhancing High-throughput and High-precision CD-SEM Metrology Through Advanced Deep Learning-Based Image Processing
Bo Wang, Hitachi High-Tech Corporation
 
How Process, Equipment, Material, Computation that work together to make up the Performance of Photolithography
Qiang Wu, Fudan University
 
           
  Novel Etch Solution with Sym3 for Logic BEOL Patterning Etch Applications
Hui Sun, Applied Materials
    Plasma and radical etch patterning for 3D structures
Jiayang Li, Fudan University
 
           
Challenges of Inductively Coupled Plasma Applications
Hu Zhou, AMEC
    New development of ICP etching for advanced patterning
Zhongwei Jiang, Naura, China
 
       
 
Plasma etching solutions for compound semiconductors
Yali Fu, Naura, China
    New Materials and New Functionalities Co-work scaling, and the Exploration of Inner Spacer Technique
David Xiao, Qianmo Micros Design LLC
 
           
Review of Advanced Ion Beam Etch Technology: Asymmetrical and Directional Approach
Yuxin Yang, Jiangsu Leuven Instruments Co., Ltd.
    The challenges and new developments on TSV etch applications
Guorong Li, Beijing NAURA Microelectronics Equipment Co., Ltd.
 
           
  The Progress and Challenges of Large Scale Integration of Silicon Photonics
Jin Guo, CUMEC
 
The effect of stress on HfO2-based ferroelectric thin films
Feng Luo, Nankai University
 
           
  Do we need 300mm GaN?
Kai Cheng, Enkris Semiconductor,Inc
 
Thin Film Atomic Layer Deposition and Selective Processes
Rong Chen, Huazhong University of Science and Technology
 
           

Hetero-integration of Gallium Oxide Enabling Bipolar Design and Thermal Management
Jiandong Ye, Nanjin University
 
Tailoring the deposition and composition of advanced oxide and SiCN films to deliver the highest bonding energy for fusion and hybrid bonding applications
Zongbin Wang, Applied Materials
 






A Chemistry Perspective of ALD Precursors' Properties
Xiabing Lou, Suzhou Origin Materials Technology Co,. Ltd


Design Technology Co-Optimization for Yield and Reliability Enhancement of RRAM Technology Platform
Zhichao Lv, Reliance Memory








Si based GaN HEMTs/System R&D and the perspective of the technological commercialization
Hongyu Yu, Southen University of Science & Technology

Advances and Reliability Challenges in Heterogeneous Integration in Chiplet Era: from Solder to Copper to Optical Interconnects
Zhuo-Jie Wu, HFC semiconductor








Modeling of Endurance Degradation of Anti-ferroelectric Hf1-xZrxO2 Capacitor
Yi Zhao, Zhejiang University

  SiGe/Si Heteroepitaxial Epitaxy and Characterization for CMOS and Vertically Stacked DRAM
Guilei Wang, Beijing Superstring Academy of Memory Technology







  A Review in III-Nitride Nanocolumns Growth and Applications
Enrique Calleja, Universidad Politécnica de Madrid(UPM)


CMP Equipments and Application Technologies for Advanced Manufacturing Process
Xinchun Lu, Tsinghua University







Study on the Processing Characteristics and Polishing Technology of Easily Cleavable Gallium Oxide Crystals
Hai Zhou, Yancheng Institute of Technology
 
Research on the electro-fenton magnetorheological finishing technology for GAN wafer
Qiusheng Yan, Guangdong University of Technology
 
           
From Good to Great: The Power of Advanced ILD CMP Slurries in Boosting Performance
Juliane Hitzel, Advanced NanoSurface Technologies Co., Ltd.

CMP Technology for More than Moore Innovation
Haedo Jeong, Pusan National University







CMP characteristics of IGZO thin film with a variety of process parameters
Ming Zeng, Beijing Superstring Academy of Memory Technology
 
Mechanism Research and Improvement of AL Scratch Defect Based on MG CMP
Qingqing Duan, Shanghai Huali Integrated Circuit Corp.
 






  New methodology for anisotropic nanoparticles characterization by polarization light scattering: length and diameter determination of rod-like nanoparticles
David Jacob, Cordouan Technology
 

The development progress of new high-efficiency silicon carbide substrate polishing slurry
Xiuyan Sun, Founder of Zhangjiagang Anchu Technology Ltd.

 






Ceria slurry applications to STI, ILD, and advanced packaging CMP
Yuchun Wang, Anji microelectronics
 
Doping level detection in Si or SiGe via In-Line Raman Spectroscopy
Roy Pinhassi, Nova Ltd
 






Assessing the Power-Awareness of VLSI Testing
Xiaoqing Wen, Kyushu Institute of Technology, Japan
    Polymorphic circuits design and applications in security
Gang Qu, University of Maryland, USA
 






  Signal and Power Reliability for Integrated Chips and Chiplets
Lei He, UCLA, USA

Jitter reduction for multi-GHz ATE up to 20 GHz
Dave Keezer, Eastern Institute for Advanced Study (EIAS), China







MI's solutions for next era
Byoung-Ho Lee, Hitachi High-Tech Corporation

Scaling of Memory Performance and Capacity with Memory Processor
Fei Wang, Shandong University







  Study on ELK Dielectric Reliability During the Solder Reflow Process Based on Finite Element Simulations
Jialin Zheng, Sanechips Technology Co., Ltd.
 
Heterogeneous Integration Ecosystem: The Critical Path to the Success of 3D SOC(SOH)
Eason Wang, ICLeague
 






  Study of Defects in Advanced Packing at the Atomistic Scale by Using In Situ TEM
Xing Wu, East China Normal University

  The Solution and Challenge of Glass Core Substrate Technology
Tingyu Lin, Guangdong FoZhiXin (FZX) Microelectronics Technology Research Co. Ltd

           
  Breaking Memory Wall with SeDRAM® Technology in Chip Level
Yue Zhou, Xi'an UniIC Semiconductors Co., Ltd.
 
Chiplets and Advanced Packaging for Future Computing (Tentative)
Terry Wu, Samsung Electronics
 






Antimonide semiconductor material for infrared sensing
Donghai Wu, Institute of Semiconductors, CAS
 
Si based GeSn materials and devices
Chuanbo Li, Minzu University of China
 






A GHz Silicon-based Width Extensional Mode MEMS Resonator with high Q
Jinling Yang, Institute of Semiconductors, CAS

XOI materials
Xin Ou, Shanghai Institute of Microsystem and Information Technology, CAS

           
  MEMS Acoustic Device
Songsong Zhang, Chengdu Xiansheng Technology/SITRI
 
Lamb Acoustic Wave Device for RF applications: Ready for Prime Time Challenges and Applications?
Songbin Gong, Spectron Tech/UIUC
 
           
NEMS based on novel vdW heterostrucutres
Zheng HAN, Shanxi University
 
Universal Materails and Device Integration Technology for Future Chips
Chen Wang, Tsinghua University
 
           
High-mobility 2D P-type semiconductor materials
Xiang Chen, Nanjing University of Science & Technology
 
Atomically sharp interface of van der Waals heterostructures enabled high-performance electronic devices
Lihong Bao, Institute of Physics, CAS
 
           
Controllable preparation of wafer-scale two-dimensional van der Waals heterostructures
Libo Gao, Nanjing University
 
Advancing 3D Nano-electronic Systems through Innovations in BEOL-Compatible Oxide Semiconductor Technology
Gong Xiao, National University of Singapore (NUS)







Advanced spectral sensing methods for process monitoring applications
Ray Saupe, Fraunhofer ENAS
 
A Journey of High-Density Associative Memories based on Ferroelectric Content Addressable Memories
Xunzhao Yin, Zhejiang University
 
           
Algorithm and Hardware Codesign for Brain-inspired Neuromorphic Computing
Aili Wang, Zhejiang University
 
Ultra-broadband RF Front-end SoC using 0.18um CMOS Technology
Jianguo Ma, Zhejiang Lab
 
           
Logic Synthesis based on Semi-tensor Product of Matrices
Zhufei Chu, Ningbo University
 
Efficient and Robust Hardware for Neural Networks
Li Zhang, Technical University of Darmstadt
 






  Optimizing Architecture and Algorithm for Privacy-Preserving
Jongeun Lee, Ulsan National Institute of Science and Technology (UNIST)

Navigating Aging Effects: Concepts and Implementation in Reliable Computing Systems
Yu-Guang Chen, National Central University







Photonic-Electronic Design Automation
Jiang Xu, Hongkong University of Science and Technology
 
Ultra Low Power Connectivity SoC for IoT
Peter Gammel, UBILITE
 
           
Machine Learning for Device Modeling (MLDM) in the DTCO Eco-system
Lining Zhang, Peking University

Diffusive/Quantum Carrier Transport and Multiphysics Simulation Methods of Advanced Electronic/Optoelectronic Devices
Wenchao Chen, Zhejiang University

           
Design Technology Co-Optimization Methods for Advanced Logic Nodes
Xingsheng Wang, Huazhong University of Science and Technology

Exploring AI-in-the-Loop For Physical Design Verification DFM/DTCO EDA
Yongfu Li, Shanghai Jiao Tong University







Scale-out Chiplet-based Systems: Architecture, Design and Pathfinding
Puneet Gupta, University of California at Los Angeles
 
Logic Locking over TFHE for Securing User Data and Algorithms
Masanori Hashimoto, Kyoto University
 
           
In-memory Computing and Dynamic Vision Sensors: Recipes for tinyML in Internet of Video Things
Arindam Basu, Hongkong City University

Neuromorphic Audio Edge Intelligence
Shih-Chii Liu, University of Zurich and ETH Zurich







Vector Matrix Multiplication with Two-Dimensional Materials
Mario Lanza, KAUST
    AI Empowers Semiconductor Yield Signoff Ecosystem - Reducing Cost and Increasing Efficiency for Manufacturing with a Diversified Supply Chain
Mark Lu, Semitronix Corporation
 
           
Deep Neural Network Proxy Modelling for IC Virtual Fabrication
Dong Ni, Zhejiang University

Heterogeneous integration of analog and digital computing-in-memory technologies
Shaodi Wang, WITMEM Co. Ltd







  Depth-first convolution-neural-network pipeline for compute-in-memory architecture
Cimang Lu, Flash Billion

Nonvolatile emerging memory devices for energy-efficient edge AI
Daniele Ielmini, Politecnico Di Milano







Progress of AI for EDA Algorithms
Yu Han, Empyrean Technology Co., Ltd.

  Novel Data Storage Technology for AI
Xiangshui Miao, Huazhong University of Science and Technology







The Application of Virtual Manufacturing in IC Process Development
Yunlong Li, Zhejiang ICsprout Semiconductor Co., Ltd.

Large Scale VLSI Mask Optimization
Bei Yu, The Chinese University of Hong Kong







The Integration of AI Large Model & Neuromorphic Computing in Memory with High Speed Analog ICs
Hongjie Liu, Shenzhen Reexen Technology Co., Ltd.

Research on the application of artificial intelligence in device compact model and technology – circuits co-optimization
Ye Lu, Fudan University







Developing a Neuromorphic Computer: Practices and Challenges
Gang Pan, Zhejiang University

  Snapdragon Platform for High Performance 5G Mobile SOC & AI/Computing Application Manufactured with 4nm EUV Fin-FET Technology and Beyond
Jun Yuan, Qualcomm